15.01.2013 Views

U. Glaeser

U. Glaeser

U. Glaeser

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

21. Horowitz, M. et al., “PLL design for a 500 MB/s interface,” in 1993 IEEE International Solid-State<br />

Circuits Conference. Digest of Technical Papers, pp. 160–161.<br />

22. Hu, T.H. et al., “A monolithic 480 Mb/s parallel AGC/decision/clock-recovery circuit in 1.2-µm<br />

CMOS,” IEEE Journal of Solid-State Circuits, vol. 28, no. 12, pp. 1314–1320, Dec. 1993.<br />

23. Ishida, H. et al., “A single-chip V.32 bis modem,” in 1994 IEEE International Solid-State Circuits<br />

Conference. Digest of Technical Papers, pp. 66–67.<br />

24. Johansson, H.O. et al., “Time resolution of NMOS sampling switches used on low-swing signals,”<br />

IEEE Journal of Solid-State Circuits, vol. 33, no. 2, pp. 237–245, Feb. 1998.<br />

25. Johnson, M.G. et al., “A variable delay line PLL for CPU-coprocessor synchronization,” IEEE Journal<br />

of Solid-State Circuits, vol. 23, no. 5, pp. 1218–1223, Oct. 1988.<br />

26. Kushiyama, N. et al., “A 500-megabyte/s data rate 4.5 M DRAM,” IEEE Journal of Solid-State Circuits,<br />

vol. 28, no. 4, pp. 490–498, April 1993.<br />

27. Larsson, P. et al., “A 2–1600 MHz 1.2–2.5 V CMOS clock-recovery PLL with feedback phase-selection<br />

and averaging phase-interpolation for jitter reduction,” in 1999 IEEE International Solid-State Circuits<br />

Conference. Digest of Technical Papers, pp. 356–357, Feb. 1999.<br />

28. Lau, B. et al., “A 2.6 GB/s multi-purpose chip to chip interface,” in 1998 IEEE International Solid-<br />

State Circuits Conference. Digest of Technical Papers, pp. 162–163.<br />

29. Lee, K. et al., “A jitter-tolerant 4.5 Gb/s CMOS interconnect for digital display,” in 1998 IEEE<br />

International Solid-State Circuits Conference. Digest of Technical, pp. 310–311, Feb. 1998.<br />

30. Lee, M.J., W. Dally, P. Chang, “Low-power area-efficient high-speed I/O circuit techniques,” IEEE<br />

Journal of Solid-State Circuits, vol. 35, no. 11, pp. 1591–1599, Nov. 2000.<br />

31. Lee, T.H. et al., “A 2.5 V CMOS delay-locked loop for 18 Mbit, 500 megabyte/s DRAM,” IEEE Journal<br />

of Solid-State Circuits, vol. 29, no. 12, pp. 1491–1496, Dec. 1994.<br />

32. Maneatis, J.G. et al., “Low-jitter process-independent DLL and PLL based on self-biased techniques,”<br />

IEEE Journal of Solid-State Circuits, vol. 31, no. 11, pp. 1723–1732, Nov. 1996.<br />

33. Matick, R., “Transmission lines for digital and communication networks,” 3rd ed., IEEE Press, 1997.<br />

34. Montanaro, J. et al., “A 160-MHz 32-b 0.5-W CMOS RISC microprocessor,” IEEE Journal of Solid-<br />

State Circuits, vol. 31, pp. 1703–1714, Nov. 1996.<br />

35. Pelgrom, M.J., “Matching properties of MOS transistors,” IEEE Journal of Solid-State Circuits, vol. 24,<br />

no. 10, p. 1433, Dec. 1989.<br />

36. Pottbacker, A. et al., “A Si-bipolar phase and frequency detector IC for clock extraction up to 8Gb/s,”<br />

IEEE Journal of Solid-State Circuits, vol. 27, pp. 1747–1751, Dec. 1992.<br />

37. Proakis, J., Salehi, M., Communication Systems Engineering, Prentice-Hall, 1994.<br />

38. Razavi, B. Editor, Monolithic Phase Locked Loops and Clock Recovery Circuits, IEEE Press, 1996.<br />

39. Sanchez, H., et al., “A versatile 3.3 V/2.5 V/1.8 V CMOS I/O driver built in a 0.2-mm 3.5 nm tox 1.8 V<br />

technology,” in 1999 IEEE International Solid-State Circuits Conference. Digest of Technical Papers, p. 276.<br />

40. Savoj, J., B. Razavi, “A 10 Gb/s CMOS clock data recovery circuit,” Symposium on VLSI Circuits<br />

(IEEE/JSAP). Digest of Technical Papers, Honolulu, HI, pp. 136–139, June 2000.<br />

41. Senthinathan, R. et al., “Application specific CMOS output driver circuit design techniques to reduce<br />

simultaneous switching noise,” IEEE Journal of Solid-State Circuits, vol. 28, no. 12, pp. 1383–1388,<br />

Dec. 1993.<br />

42. Sidiropoulos, S. et al., “A CMOS 500-Mbps/pin synchronous point to point link interface,” in<br />

Proceedings of 1994 IEEE Symposium on VLSI Circuits. Digest of Technical Papers, pp. 43–44.<br />

43. Sidiropoulos, S. et al., “A 700-Mb/s/pin CMOS signaling interface using current integrating receivers,”<br />

IEEE Journal of Solid-State Circuits, vol. 32, no. 5, pp. 681–690, May 1997.<br />

44. Sidiropoulos, S. et al., “A semi-digital DLL with unlimited phase shift capability and 0.08–400 MHz<br />

operating range,” in 1997 IEEE International Solids-State Circuits Conference. Digest of Technical<br />

Papers, pp. 332–333.<br />

45. Song, B.S. et al., “NRZ timing recovery technique for band limited channels,” in 1996 IEEE International<br />

Solid-State Circuits Conference. Digest of Technical Papers, pp. 194–195.<br />

© 2002 by CRC Press LLC

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!