15.01.2013 Views

U. Glaeser

U. Glaeser

U. Glaeser

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

FIGURE 27.27 ADPCM: (a) encoder, (b) decoder.<br />

FIGURE 27.28 Delta modulation system.<br />

FIGURE 27.29 CVSD encoder.<br />

slope overload and granularity noise. They can, however, be easily reduced by adaptive versions of DM,<br />

i.e., ADM.<br />

Continuous variable slope delta modulation (CVSD) is an example of the ADM. CVSD effectively<br />

reduces the DM slope overload [29]. An interesting advantage of this method is its resistance to transmission<br />

errors. Figure 27.29 presents structure of the CVSD encoder.<br />

The output signal of the CVSD encoder is given by<br />

where x(n) is the input PCM sample, xˆ ( n)<br />

is the estimated sample.<br />

© 2002 by CRC Press LLC<br />

PCM<br />

audio + e(n)<br />

+<br />

x(n+1) _<br />

x ^ (n+1)<br />

ADPCM<br />

data<br />

e(n) ~<br />

Adaptive<br />

quantization<br />

Adaptive<br />

prediction<br />

Adaptive<br />

dequantization<br />

e(n) ~<br />

x ^ (n) e(n) ^<br />

+<br />

+<br />

+<br />

e(n)<br />

^<br />

PCM<br />

data<br />

x(n)<br />

+<br />

+<br />

_<br />

x ^ (n)<br />

One-bit<br />

quantization e(n)<br />

PCM<br />

data +<br />

+<br />

x(n)<br />

-<br />

x ^ (n-1)<br />

h<br />

First order<br />

prediction<br />

+<br />

One-bit<br />

quantization<br />

(a)<br />

+<br />

+<br />

+<br />

(b)<br />

DM<br />

data<br />

channel<br />

Adaptive<br />

dequantization<br />

Adaptive<br />

prediction<br />

+<br />

First order<br />

prediction<br />

ADPCM<br />

data<br />

~<br />

e(n)<br />

PCM<br />

audio<br />

x ^ (n)<br />

y(n)<br />

d(n-1)<br />

× SAT<br />

d(n-1)<br />

^<br />

-1<br />

z<br />

^<br />

d(n)<br />

+ ×<br />

∆(n) Step size<br />

adapter<br />

y( n)<br />

= sgn{ x( n)<br />

– xˆ ( n – 1)<br />

}<br />

PCM<br />

data<br />

x ^ (n)<br />

y(n)<br />

(27.55)

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!