15.01.2013 Views

U. Glaeser

U. Glaeser

U. Glaeser

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

Power of these links is becoming an important issue. For many digital systems, the aggregate off-chip<br />

bandwidth is expected to exceed terabits per second in 2010. The data rate is not expected of a single<br />

link but over hundreds of I/Os. Each I/O cannot afford power more than a few tens of milliwatts.<br />

These issues challenge the next generation of higher-performance link designs. The availability of faster<br />

and more abundant transistor as CMOS technology scales will help designers face the challenges.<br />

References<br />

1. Alvarez, J. et al., “A wide-bandwidth low-voltage PLL for PowerPC microprocessors,” IEEE Journal<br />

of Solid-State Circuits, vol. 30, no. 4, pp. 383–391, April 1995.<br />

2. Banu, M., A. Dunlop, “A 660Mb/s CMOS clock recovery circuit with instantaneous locking for NRZ<br />

data and burst-mode transmission,” in 1993 IEEE International Solid-State Circuits Conference. Digest<br />

of Technical Papers, San Francisco, CA, pp. 102–103, Feb. 1993.<br />

3. Best, R., Phase-Locked Loops, 3rd ed., McGraw Hill, New York, 1997.<br />

4. Boxho, J. et al., “An analog front end for multi-standard power line carrier modem,” in 1997 IEEE<br />

International Solid-State Circuits Conference. Digest of Technical Papers, pp. 84–85.<br />

5. Chappell, B. et al., “Fast CMOS ECL receivers with 100-mV worst case sensitivity,” IEEE Journal of<br />

Solid State Circuits, vol. 23, no. 1, pp. 59–67, Feb. 1988.<br />

6. Dally, W.J. et al., “Transmitter equalization for 4-Gbps signaling,” IEEE Micro, vol. 17, no. 1,<br />

pp. 48–56, Jan.–Feb. 1997.<br />

7. DeHon, A. et al., “Automatic impedance control,” in 1993 IEEE International Solid-State Circuits<br />

Conference. Digest of Technical, pp. 164–165, Feb. 1993.<br />

8. Dobberpuhl, D. et al., “A 200-MHz 64 b dual-issue microprocessor,” IEEE Journal of Solid-State<br />

Circuits, vol. 27, no. 11, p. 1555, Nov. 1992.<br />

9. Donnelly, K.S. et al., “A 660 MB/s interface megacell portable circuit in 0.3 µm−0.7 µm CMOS<br />

ASIC,” IEEE Journal of Solid-State Circuits, vol. 31, no. 12, pp. 1995–2003, Dec. 1996.<br />

10. Ellersick, W. et al., “A serial-link transceiver based on 8 GSa/s A/D and D/A converters in 0.25-µm<br />

CMOS,” in 2001 IEEE International Solid-State Circuits Conference. Digest of Technical, pp. 58–59,<br />

Feb. 2001.<br />

11. Enam, S.K., A.A. Abidi, “NMOS ICs for clock and data regeneration in gigabit-per-second opticalfiber<br />

receivers,” IEEE Journal of Solid-State Circuits, vol. 27, no. 12, pp. 1763–1774, Dec. 1992.<br />

12. Esch, G.L., Jr. et al., “Theory and design of CMOS HSTL I/O pads,” Hewlett-Packard Journal Hewlett-<br />

Packard, vol. 49, no. 3, pp. 46–52, Aug. 1998.<br />

13. Farjad-Rad, R. et al., “A 0.3-µm CMOS 8-GS/s 4-PAM serial link transceiver,” IEEE Journal of Solid-<br />

State Circuits, vol. 35, no. 5, pp. 757–764, May 2000.<br />

14. Fiedler, A. et al., “A 1.0625 Gbps transceiver with 2x-oversampling and transmit signal preemphasis,”<br />

in 1997 IEEE International Solid-State Circuits Conference. Digest of Technical Papers,<br />

pp. 238–239.<br />

15. Franaszek, P., A. Widmar, “Byte oriented DC balanced (0,4) 8B/10B partitioned block transmission<br />

code,” US Patent 4486739, Dec. 04, 1984.<br />

16. Fukaishi, M. et al., “A 4.25-Gb/s CMOS fiber channel transceiver with asynchronous tree-type<br />

demultiplexer and frequency conversion architecture,” IEEE Journal of Solid-State Circuits, vol. 33,<br />

pp. 2139–2147, Dec. 1998.<br />

17. Galles, M. et al., “Spider: a high-speed network interconnect,” IEEE Micro, vol. 17, no. 1, pp. 34–39,<br />

Jan.–Feb. 1997.<br />

18. Gu, R. et al., “A 0.5–3.5 Gb/s low-power low-jitter serial data CMOS transceiver,” in 1999 International<br />

Solid-State Circuits Conference. Digest of Technical Papers, San Francisco, CA, pp. 352–353, Feb. 1999.<br />

19. Gunning, B. et al., “A CMOS low-voltage-swing transmission-line transceiver,” in 1992 International<br />

Solid-State Circuits Conference. Digest of Technical Papers, San Francisco, CA, Feb. 1992.<br />

20. Hogge, Jr., C.R., “A self-correcting clock recovery circuit,” IEEE Transation on Electron Devices,<br />

vol. ED-32, pp. 2704–2706, Dec. 1985.<br />

© 2002 by CRC Press LLC

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!