15.01.2013 Views

U. Glaeser

U. Glaeser

U. Glaeser

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

14. C.-H. Shen and J. A. Abraham: Mixed level sequential test generation using a nine valued relaxation<br />

algorithm, Proc. ICCAD-90, pp. 230–235.<br />

15. K. J. Lee, C. A. Njinda, M. A. Breuer: SWITEST: a switch-level test generation system for CMOS<br />

combinational circuits, Proc. DAC ’92, pp. 26–29.<br />

16. H. Cox and J. Rajski: Stuck-open and transition fault testing in CMOS complex gates, IEEE Int. Test<br />

Conf., 1988, pp. 688–694.<br />

17. V. S. Iyengar, B. K. Rose, I. Spencer: Delay test generation I—concepts and coverage metrics,” Proc.<br />

Int. Test Conf., 1988.<br />

18. E. S. Park, M. R. Mercer, T. W. Williams: Statistical delay fault coverage and defect level for delay<br />

faults, Proc. Int. Test Conf., 1988.<br />

19. K. Fuchs, F. Fink, M. H. Schulz: DYNAMITE: An efficient automatic test pattern generation system<br />

for path delay faults, IEEE Trans. CAD, Oct. 1991.<br />

20. M. Geilert, J. Alt, M. Zimmermann: On the efficiency of the transition fault model for delay faults,<br />

Proc. ICCAD-90, pp. 272–275.<br />

21. S. Devadas, K. Keutzer: Design of integrated circuits fully testable for delay faults and multifaults,<br />

Proc. ITC ’90, pp. 284–293.<br />

22. C. H. Chen, J. A. Abraham: Mixed-level sequential test generation using a nine-valued relaxation<br />

algorithm, Proc. ICCAD ’90, pp. 230–233.<br />

23. W. Maly, P. Nigh: Built-in current testing—a feasibility study, Proc. IEEE ICCAD ’88, 1988, pp. 340–343.<br />

24. T. Storey, W. Maly, J. Andrews, M. Miske: Comparing stuck fault and current testing via CMOS chip<br />

test, Proc. 2nd European Test Conf., Munich, 1991, pp. 149–156.<br />

25. W. Cheng: The BACK-algorithm for sequential test generation, Proc. ICCD ’88, pp. 66–69.<br />

26. R. Marlett: EBT: A comprehensive test generation technique for highly sequential circuits, Proc. DAC<br />

‘78, pp. 335–339.<br />

27. T. Ma, S. Devadas, A. R. Newton, A. Sangiovanni-Vincentelli: Test generation for sequential circuits,<br />

IEEE Trans. Computer Aided Design, 1888, pp. 1081–1093.<br />

28. P. Camurati, F. Corno, P. Prinetto, M. Sonza Reorda: A Simulation-based approach to test pattern<br />

generation for synchronous sequential circuits, IEEE VLSI Test Symposium, 1992, pp. 263–267.<br />

29. V. D. Agrawal, K. T. Cheng, P. Agrawal: A direct search method for test generation for sequential<br />

circuits, IEEE Trans. Computer-Aided Design, Feb. 1989, pp. 131–138.<br />

30. U. Hübner, H. T. Vierhaus: Testmustergenerator für kombinatorische CMOS Schaltungen, GMD-<br />

Studie Nr. 155, GMD, St. Augustin, 1989, pp. 85–93.<br />

31. U. Hübner, R. Camposano: Partitioning and analysis of static digital CMOS-circuits, Arbeitspapiere<br />

der GMD 761, July 1993.<br />

32. D. T. Blaauw, R. B. Mueller-Thuns, D. G. Saab, P. Banerjee: SNELL: A switch level simulator using<br />

multiple levels of functional abstraction, Proc. ICCAD ’90, pp. 66–69.<br />

33. U. Hübner, H. Hinsen, M. Hofebauer, H. T. Vierhaus: Mixed level test generation for high fault<br />

coverage, Microprocessing and Microprogramming, Vol. 32, No. 1–5, Aug. 1991, pp. 791–796.<br />

34. U. Hübner, H. T. Vierhaus: Built-in current testing vs. delay fault testing—a case study, Proc. IEEE<br />

CompEuro ’92, Den Haag.<br />

35. F. Brglez, P. Pownall, R. Hum: Applications of testability analysis: from ATPG to critical path tracing,<br />

Proc. ITC ’84, pp. 705–712.<br />

36. A. Lioy, M. Mezzalama: On parameters affecting ATPG performance, Proc. CompEuro ’87, pp. 394– 397.<br />

37. L. H. Goldstein: Controllability/observability measure for logic testability, IEEE Trans. Circuits and<br />

Systems, Vol. CAS-26, pp. 685–693, 1979.<br />

38. S. M. Reddy, M. K. Reddy, V. D. Agrawal: Robust tests for stuck-open faults in CMOS combinational<br />

logic circuits, Proc. FTCS ’84, pp. 44–49.<br />

39. W. Meyer, R. Camposano: Fast hierarchical multi-level fault simulation of sequential circuits with<br />

switch-level accuracy, Proc. DAC ’93, pp. 515–519.<br />

40. L. N. Reddy, I. Pomeranz, S. M. Reddy: COMPACTEST-II: a method to generate two-pattern test<br />

sets for combinational logic circuits, Proc. ICCAD-92, pp. 568–574.<br />

© 2002 by CRC Press LLC

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!