15.01.2013 Views

U. Glaeser

U. Glaeser

U. Glaeser

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

5. T. Lee, et al., “A 2.5V CMOS Delay-Locked Loop for an 18Mbit, 500Megabyte/s DRAM,” IEEE J.<br />

Solid-State Circuits, vol. 29, no. 12, pp. 1491−1496, Dec. 1994.<br />

6. D. Chengson, et al., “A Dynamically Tracking Clock Distribution Chip with Skew Control,” CICC<br />

1990 Dig. Tech. Papers, pp. 13−16, May 1990.<br />

7. A. Waizman, “A Delay Line Loop for Frequency Synthesis of De-Skewed Clock,” ISSCC 1994 Dig.<br />

Tech. Papers, pp. 298−299, Feb. 1994.<br />

8. J. Maneatis, “Low-Jitter Process-Independent DLL and PLL Based on Self-Biased Techniques,” IEEE<br />

J. Solid-State Circuits, vol. 31, no. 11, pp. 1723−1732, Nov. 1996.<br />

9. J. Maneatis and M. Horowitz, “Precise Delay Generation Using Coupled Oscillators,” IEEE J. Solid-<br />

State Circuits, vol. 28, no. 12, pp. 1273−1282, Dec. 1993.<br />

10. V. von Kaenel, et al., “A 600MHz CMOS PLL Microprocessor Clock Generator with a 1.2GHz VCO,”<br />

ISSCC 1998 Dig. Tech. Papers, pp. 396−397, Feb. 1998.<br />

11. M. Horowitz, et al., “PLL Design for a 500MB/s Interface,” ISSCC 1993 Dig. Tech. Papers, pp. 160−161,<br />

Feb. 1993.<br />

10.2 Latches and Flip-Flops<br />

Fabian Klass<br />

Introduction<br />

This chapter section deals with latches and flip-flops that interface to complementary static logic and are<br />

built in CMOS technology. Two fundamental types of designs are discussed: (1) design based on transparent<br />

latches and (2) designs based on edge-triggered flip-flops. Because conceptually flip-flops are built<br />

from transparent latches, the analysis of timing requirements is focused primarily on the former. Flipflop-based<br />

designs are then analyzed as a special case of a latch-based design. Another type of latch, known<br />

as a pulsed latch, is treated in a special section also. This is because while similar in nature to a transparent<br />

latch, its usage in practice is similar to a flip-flop, which makes it a unique and distinctive type.<br />

The chapter section is organized as follows. The first half deals with the timing requirements of latchand<br />

flip-flop-based designs. It is generic and the concepts discussed therein are applicable to other technologies<br />

as well. The second half of the chapter presents specific circuit topologies and is exclusively focused<br />

on CMOS technology. Various latches and flip-flops are described and their performance is analyzed. A<br />

subsection on scan design is also provided. A summary and a historical perspective is finally presented.<br />

Historical Trends<br />

In discussing latch and flip-flop based designs, it is important to review the fundamental concept behind<br />

them, which is pipelining. Pipelining is a technique that achieves parallelism by segmenting long sequential<br />

logical operations into smaller ones. At any given time, each stage in the pipeline operates concurrently<br />

on a different data set. If the number of stages in the pipeline is N, then N operations are executed in<br />

parallel. This parallelism is reflected in the clock frequency of the system. If the clock frequency of the<br />

unsegmented pipeline is Freq, a segmented pipeline with N stages can operate ideally at N × Freq. It is<br />

important to understand that the increase in clock rate does not necessarily translate linearly into increased<br />

performance. Architecturally, the existence of data dependencies, variable memory latencies, interruptions,<br />

and the type of instructions being executed, among other factors, contribute to reducing the<br />

effective number of operations executed per clock cycle, or the effective parallelism [1]; however, as a<br />

historical trends show, pipelines are becoming deeper, or correspondingly, the stages are becoming<br />

shorter. For instance, the design reported in [2] has a pipeline 15-stage deep. From a physical perspective,<br />

the theoretical speedup of segmentation is not attainable either. This is because adjacent pipeline stages<br />

need to be isolated, so independent operations, which execute concurrently, do not intermix. Typically,<br />

synchronous systems use latches or flip-flops to accomplish this. Unfortunately, these elements are not<br />

ideal and add overhead to each pipeline stage. This pipeline overhead depends on the specific latching<br />

style and the clocking scheme adopted. For instance, if the pipeline overhead in an N-stage design is 20%<br />

© 2002 by CRC Press LLC

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!