15.01.2013 Views

U. Glaeser

U. Glaeser

U. Glaeser

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

18. R. Joy and K. Kennedy. President’s Information Technology Advisory Committee (PITAC) — Interim<br />

Report to the President.<br />

National Coordination Office for Computing, Information and Communication,<br />

4201 Wilson Blvd, Suite 690, Arlington, VA 22230, August 10, 1998.<br />

19. V. Krishnan and J. Torellas, “A Chip Multiprocessor Architecture with Speculative Multithreading,”<br />

IEEE Transactions on Computers, September 1999.<br />

20. L. Lamport, “How to Make a Multiprocessor Computer That Correctly Executes Multiprocess Programs,”<br />

IEEE Transactions on Computers, vol. C-28, pp. 690–691, September 1979.<br />

21. O. C. Maquelin, H. H. J. Hum, and G. R. Gao. “Costs and Benefits of Multithreading with Off-the-<br />

Shelf RISC Processors,” Proceedings of 1st International EURO-PAR Conference, 1995.<br />

22. P. Marcuello, A. Gonzalez, and J. Tubella, “Speculative Multithreaded Processors,” Proceedings of<br />

International Conference on Supercomputing, 1998.<br />

23. R. Nair and M. E. Hopkins, “Exploiting Instruction Level Parallelism in Processors by Caching<br />

Scheduled Groups,” Proceedings of the 24th Annual International Symposium on Computer Architecture,<br />

pp. 13–25, 1997.<br />

24. N. Nishi et al., “A 1-GIPS 1-W Single-Chip Tightly Coupled Four-Way Multiprocessor with Architecture<br />

Support for Multiple Control-Flow Execution,” Proceedings of the 47th International Solid-<br />

States Circuits Conference, pp. 418–475, 2000.<br />

25. D. Padua, “Polaris: An Optimizing Compiler for Parallel Workstations and Scalable Multiprocessors,”<br />

Technical Report 1475, University of Illinois at Urbana-Champaign, Center for Supercomputing<br />

Research & Development, January 1996.<br />

26. C. Polychronopoulos, M. B. Girkar, M. R. Haghighat, C. L. Lee, B. P. Leung, D. A. Schouten, “The<br />

Structure of Parafrase-2: An Advanced Parallelizing Compiler for C and Fortran,” Languages and<br />

Compilers for Parallel Computing, MIT Press, Cambridge, MA, 1990.<br />

27. N. Ranganathan and M. Franklin, “An Empirical Study of Decentralized ILP Execution Models,”<br />

Proceedings of 8th International Conference on Architectural Support for Programming Languages and<br />

Operating Systems (ASPLOS-VIII), pp. 272–281, 1998.<br />

28. E. Rotenberg, Q. Jacobson, Y. Sazeides, and J. E. Smith, “Trace Processors,” Proceedings of the 30th<br />

International Symposium on Microarchitecture, pp. 138–148, 1997.<br />

29. B. J. Smith, “The Architecture of HEP,” Parallel MIMD Computation: HEP Supercomputer and Its<br />

Applications, pp. 41–55, MIT Press, Cambridge, MA.<br />

30. G. S. Sohi, S. E. Breach, and T. N. Vijaykumar, “Multiscalar Processors,” Proceedings of the 22nd<br />

Annual International Symposium on Computer Architecture, pp. 414–425, 1995.<br />

31. J. G. Steffan and T. C. Mowry, “The Potential for Using Thread-Level Data Speculation to Facilitate<br />

Automatic Parallelization,” Proceedings of 4th International Symposium on High Performance Computer<br />

Architecture, 1998.<br />

32. K. K. Sundararaman and M. Franklin, “Multiscalar Execution along a Single Flow of Control,”<br />

Proceedings of International Conference on Parallel Processing (ICPP), pp. 106–113, 1997.<br />

33. M. Thistle and B. J. Smith, “A Processor Architecture for Horizon,” Proceedings of Supercomputing<br />

’88, pp. 35–41, 1988.<br />

34. M. Tremblay et al, “The MAJC Architecture: A Synthesis of Parallelism and Scalability,” IEEE MICRO,<br />

pp. 12–25, November/December 2000.<br />

35. J-Y. Tsai and P-C. Yew, “The Superthreaded Architecture: Thread Pipelining with Run-Time Data<br />

Dependence Checking and Control Speculation,” Proceedings of the 1996 Conference on Parallel<br />

Architectures and Compilation Techniques (PACT ’96), pp. 35–46, 1996.<br />

36. S. Vajapeyam and T. Mitra, “Improving Superscalar Instruction Dispatch and Issue by Exploiting<br />

Dynamic Code Sequences,” Proceedings of the 24th Annual International Symposium on Computer<br />

Architecture, pp. 1–12, 1997.<br />

37. U. Vishkin, S. Dascal, E. Berkovich, and J. Nuzman, “Explicit Multi-threaded (XMT) Bridging Models<br />

for Instruction Parallelism,” Proceedings of the 10th ACM Symposium on Parallel Algorithms and<br />

Architectures (SPAA), pp. 140–151, 1998.<br />

© 2002 by CRC Press LLC

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!