05.02.2013 Views

ARM Architecture Reference Manual ARMv7-A and ARMv7-R edition

ARM Architecture Reference Manual ARMv7-A and ARMv7-R edition

ARM Architecture Reference Manual ARMv7-A and ARMv7-R edition

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

Assembler syntax<br />

where:<br />

Instruction Details<br />

VABS. , != F64<br />

VABS. , <br />

VABS.F32 , VFP only. Encoding T2/A2, sz = 0<br />

See St<strong>and</strong>ard assembler syntax fields on page A8-7. An <strong>ARM</strong> Advanced SIMD VABS<br />

instruction must be unconditional.<br />

The data type for the elements of the vectors. It must be one of:<br />

S8 encoding T1 / A1, size = 0b00, F = 0<br />

S16 encoding T1 / A1, size = 0b01, F = 0<br />

S32 encoding T1 / A1, size = 0b10, F = 0<br />

F32 encoding T1 / A1, size = 0b10, F = 1<br />

F64 encoding T2 / A2, sz = 1.<br />

, The destination vector <strong>and</strong> the oper<strong>and</strong> vector, for a quadword operation.<br />

, The destination vector <strong>and</strong> the oper<strong>and</strong> vector, for a doubleword operation.<br />

, The destination vector <strong>and</strong> the oper<strong>and</strong> vector, for a singleword operation.<br />

Operation<br />

if ConditionPassed() then<br />

EncodingSpecificOperations(); CheckAdvSIMDOrVFPEnabled(TRUE, advsimd);<br />

if advsimd then // Advanced SIMD instruction<br />

for r = 0 to regs-1<br />

for e = 0 to elements-1<br />

if floating_point then<br />

Elem[D[d+r],e,esize] = FPAbs(Elem[D[m+r],e,esize]);<br />

else<br />

result = Abs(SInt(Elem[D[m+r],e,esize]));<br />

Elem[D[d+r],e,esize] = result;<br />

else // VFP instruction<br />

if dp_operation then<br />

D[d] = FPAbs(D[m]);<br />

else<br />

S[d] = FPAbs(S[m]);<br />

Exceptions<br />

Undefined Instruction.<br />

<strong>ARM</strong> DDI 0406B Copyright © 1996-1998, 2000, 2004-2008 <strong>ARM</strong> Limited. All rights reserved. A8-533

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!